Modifier and Type | Method and Description |
---|---|
Unisim[] |
getTransform(Series s)
Gets the set of unisims the provided unisim transforms to in a
given series.
|
boolean |
hasTransform(Series s)
Determines if on given series, if the unisim is transformed to
different unisim type(s).
|
static Unisim |
valueOf(String name)
Returns the enum constant of this type with the specified name.
|
static Unisim[] |
values()
Returns an array containing the constants of this enum type, in
the order they are declared.
|
public static final Unisim AIE_ML_NOC_M_AXI
public static final Unisim AIE_ML_NOC_M_AXIS
public static final Unisim AIE_ML_NOC_S_AXI
public static final Unisim AIE_ML_NOC_S_AXIS
public static final Unisim AIE_ML_PL_M_AXIS128
public static final Unisim AIE_ML_PL_M_AXIS32
public static final Unisim AIE_ML_PL_M_AXIS64
public static final Unisim AIE_ML_PL_M_EVENTS
public static final Unisim AIE_ML_PL_S_AXIS128
public static final Unisim AIE_ML_PL_S_AXIS32
public static final Unisim AIE_ML_PL_S_AXIS64
public static final Unisim AIE_ML_PL_S_EVENTS
public static final Unisim AIE_NOC_M_AXI
public static final Unisim AIE_NOC_M_AXIS
public static final Unisim AIE_NOC_S_AXI
public static final Unisim AIE_NOC_S_AXIS
public static final Unisim AIE_PL_M_AXIS128
public static final Unisim AIE_PL_M_AXIS32
public static final Unisim AIE_PL_M_AXIS64
public static final Unisim AIE_PL_M_EVENTS
public static final Unisim AIE_PL_S_AXIS128
public static final Unisim AIE_PL_S_AXIS32
public static final Unisim AIE_PL_S_AXIS64
public static final Unisim AIE_PL_S_EVENTS
public static final Unisim AMS_ADC
public static final Unisim AMS_DAC
public static final Unisim AND2
public static final Unisim AND2B1
public static final Unisim AND2B1L
public static final Unisim AND2B2
public static final Unisim AND3
public static final Unisim AND3B1
public static final Unisim AND3B2
public static final Unisim AND3B3
public static final Unisim AND4
public static final Unisim AND4B1
public static final Unisim AND4B2
public static final Unisim AND4B3
public static final Unisim AND4B4
public static final Unisim AND5
public static final Unisim AND5B1
public static final Unisim AND5B2
public static final Unisim AND5B3
public static final Unisim AND5B4
public static final Unisim AND5B5
public static final Unisim AUTOBUF
public static final Unisim BFR_MATMULX
public static final Unisim BIBUF
public static final Unisim BITSLICE_CONTROL
public static final Unisim BSCANE2
public static final Unisim BSCAN_SPARTAN3
public static final Unisim BSCAN_SPARTAN3A
public static final Unisim BSCAN_SPARTAN6
public static final Unisim BSCAN_VIRTEX4
public static final Unisim BSCAN_VIRTEX5
public static final Unisim BSCAN_VIRTEX6
public static final Unisim BUF
public static final Unisim BUFCE_LEAF
public static final Unisim BUFCE_ROW
public static final Unisim BUFDIV_LEAF
public static final Unisim BUFG
public static final Unisim BUFGCE
public static final Unisim BUFGCE_1
public static final Unisim BUFGCE_DIV
public static final Unisim BUFGCTRL
public static final Unisim BUFGMUX
public static final Unisim BUFGMUX_1
public static final Unisim BUFGMUX_CTRL
public static final Unisim BUFGMUX_VIRTEX4
public static final Unisim BUFGP
public static final Unisim BUFG_FABRIC
public static final Unisim BUFG_GT
public static final Unisim BUFG_GT_SYNC
public static final Unisim BUFG_HM
public static final Unisim BUFG_LB
public static final Unisim BUFG_PS
public static final Unisim BUFH
public static final Unisim BUFHCE
public static final Unisim BUFIO
public static final Unisim BUFIO2
public static final Unisim BUFIODQS
public static final Unisim BUFMR
public static final Unisim BUFMRCE
public static final Unisim BUFR
public static final Unisim CAPTUREE2
public static final Unisim CAPTURE_SPARTAN3
public static final Unisim CAPTURE_SPARTAN3A
public static final Unisim CAPTURE_VIRTEX4
public static final Unisim CAPTURE_VIRTEX5
public static final Unisim CAPTURE_VIRTEX6
public static final Unisim CARRY4
public static final Unisim CARRY8
public static final Unisim CFGLUT5
public static final Unisim CLKDLL
public static final Unisim CLKDLLE
public static final Unisim CLKDLLHF
public static final Unisim CLOCK_MOD_CLE_FF
public static final Unisim CLOCK_MOD_CLE_IMR
public static final Unisim CLOCK_MOD_IRI_FF
public static final Unisim CLOCK_MOD_IRI_IMR
public static final Unisim CMAC
public static final Unisim CMACE4
public static final Unisim CMPHY_OCTAD
public static final Unisim CPM
public static final Unisim CPM5
public static final Unisim CPM5N
public static final Unisim CPM_EXT
public static final Unisim CPM_MAIN
public static final Unisim DCIRESET
public static final Unisim DCM
public static final Unisim DCMAC
public static final Unisim DCM_ADV
public static final Unisim DCM_BASE
public static final Unisim DCM_PS
public static final Unisim DCM_SP
public static final Unisim DDRMC
public static final Unisim DDRMC5
public static final Unisim DDRMC5C
public static final Unisim DDRMC_RIU
public static final Unisim DFE_CFR
public static final Unisim DFE_DUC_DDC
public static final Unisim DFE_FFT
public static final Unisim DFE_FIR
public static final Unisim DFE_MATRIX_FIR
public static final Unisim DFE_NL_FIR
public static final Unisim DFE_PQ_DECIM
public static final Unisim DFE_PQ_INTERP
public static final Unisim DFE_PRACH
public static final Unisim DIFFINBUF
public static final Unisim DNA_PORT
public static final Unisim DNA_PORTE2
public static final Unisim DPHY_DIFFINBUF
public static final Unisim DPLL
public static final Unisim DSP48
public static final Unisim DSP48A
public static final Unisim DSP48A1
public static final Unisim DSP48E
public static final Unisim DSP48E1
public static final Unisim DSP48E2
public static final Unisim DSP48E5
public static final Unisim DSP58
public static final Unisim DSP58C
public static final Unisim DSPCPLX
public static final Unisim DSPFP32
public static final Unisim DSP_ALU
public static final Unisim DSP_ALUADD
public static final Unisim DSP_ALUMUX
public static final Unisim DSP_ALUREG
public static final Unisim DSP_A_B_DATA
public static final Unisim DSP_A_B_DATA58
public static final Unisim DSP_CPLX_STAGE0
public static final Unisim DSP_CPLX_STAGE1
public static final Unisim DSP_C_DATA
public static final Unisim DSP_C_DATA58
public static final Unisim DSP_FPA_CREG
public static final Unisim DSP_FPA_OPM_REG
public static final Unisim DSP_FPM_PIPEREG
public static final Unisim DSP_FPM_STAGE0
public static final Unisim DSP_FPM_STAGE1
public static final Unisim DSP_FP_ADDER
public static final Unisim DSP_FP_INMUX
public static final Unisim DSP_FP_INREG
public static final Unisim DSP_FP_OUTPUT
public static final Unisim DSP_FP_SRCMX_OPTINV
public static final Unisim DSP_MULTIPLIER
public static final Unisim DSP_MULTIPLIER58
public static final Unisim DSP_M_DATA
public static final Unisim DSP_M_DATA58
public static final Unisim DSP_OUTPUT
public static final Unisim DSP_OUTPUT58
public static final Unisim DSP_PATDET
public static final Unisim DSP_PREADD
public static final Unisim DSP_PREADD58
public static final Unisim DSP_PREADD_DATA
public static final Unisim DSP_PREADD_DATA58
public static final Unisim DSP_SRCMX_OPTINV
public static final Unisim EFUSE_USR
public static final Unisim FD
public static final Unisim FDC
public static final Unisim FDCE
public static final Unisim FDCE_1
public static final Unisim FDCP
public static final Unisim FDCPE
public static final Unisim FDCPE_1
public static final Unisim FDCP_1
public static final Unisim FDC_1
public static final Unisim FDE
public static final Unisim FDE_1
public static final Unisim FDP
public static final Unisim FDPE
public static final Unisim FDPE_1
public static final Unisim FDP_1
public static final Unisim FDR
public static final Unisim FDRE
public static final Unisim FDRE_1
public static final Unisim FDRS
public static final Unisim FDRSE
public static final Unisim FDRSE_1
public static final Unisim FDRS_1
public static final Unisim FDR_1
public static final Unisim FDS
public static final Unisim FDSE
public static final Unisim FDSE_1
public static final Unisim FDS_1
public static final Unisim FD_1
public static final Unisim FE
public static final Unisim FIFO16
public static final Unisim FIFO18
public static final Unisim FIFO18E1
public static final Unisim FIFO18E2
public static final Unisim FIFO18_36
public static final Unisim FIFO36
public static final Unisim FIFO36E1
public static final Unisim FIFO36E2
public static final Unisim FIFO36_72
public static final Unisim FRAME_ECCE2
public static final Unisim FRAME_ECCE3
public static final Unisim FRAME_ECCE4
public static final Unisim FRAME_ECC_VIRTEX6
public static final Unisim GND
public static final Unisim GTF_CHANNEL
public static final Unisim GTF_COMMON
public static final Unisim GTHE2_CHANNEL
public static final Unisim GTHE2_COMMON
public static final Unisim GTHE3_CHANNEL
public static final Unisim GTHE3_COMMON
public static final Unisim GTHE4_CHANNEL
public static final Unisim GTHE4_COMMON
public static final Unisim GTME5_QUAD
public static final Unisim GTM_DUAL
public static final Unisim GTPA1_DUAL
public static final Unisim GTPE2_CHANNEL
public static final Unisim GTPE2_COMMON
public static final Unisim GTXE1
public static final Unisim GTXE2_CHANNEL
public static final Unisim GTXE2_COMMON
public static final Unisim GTYE3_CHANNEL
public static final Unisim GTYE3_COMMON
public static final Unisim GTYE4_CHANNEL
public static final Unisim GTYE4_COMMON
public static final Unisim GTYE5_QUAD
public static final Unisim GTYP_QUAD
public static final Unisim HARD_INV
public static final Unisim HARD_SYNC
public static final Unisim HBM_IO_CHNL
public static final Unisim HBM_IO_MS
public static final Unisim HBM_MC
public static final Unisim HBM_ONE_STACK_INTF
public static final Unisim HBM_PHY_CHNL
public static final Unisim HBM_PHY_MS
public static final Unisim HBM_REF_CLK
public static final Unisim HBM_SNGLBLI_INTF_APB
public static final Unisim HBM_SNGLBLI_INTF_AXI
public static final Unisim HBM_TWO_STACK_INTF
public static final Unisim HNICPIPE_QUAD
public static final Unisim HNICX
public static final Unisim HPIO_VREF
public static final Unisim HPLL
public static final Unisim HSADC
public static final Unisim HSDAC
public static final Unisim IBUF
public static final Unisim IBUFCTRL
public static final Unisim IBUFDS
public static final Unisim IBUFDSE3
public static final Unisim IBUFDS_BLVDS_25
public static final Unisim IBUFDS_DIFF_OUT
public static final Unisim IBUFDS_DIFF_OUT_IBUFDISABLE
public static final Unisim IBUFDS_DIFF_OUT_INTERMDISABLE
public static final Unisim IBUFDS_DPHY
public static final Unisim IBUFDS_GTE2
public static final Unisim IBUFDS_GTE3
public static final Unisim IBUFDS_GTE4
public static final Unisim IBUFDS_GTE5
public static final Unisim IBUFDS_GTM
public static final Unisim IBUFDS_GTME5
public static final Unisim IBUFDS_GTXE1
public static final Unisim IBUFDS_IBUFDISABLE
public static final Unisim IBUFDS_IBUFDISABLE_INT
public static final Unisim IBUFDS_INTERMDISABLE
public static final Unisim IBUFDS_INTERMDISABLE_INT
public static final Unisim IBUFDS_LDT_25
public static final Unisim IBUFDS_LVDSEXT_25
public static final Unisim IBUFDS_LVDSEXT_25_DCI
public static final Unisim IBUFDS_LVDSEXT_33
public static final Unisim IBUFDS_LVDSEXT_33_DCI
public static final Unisim IBUFDS_LVDS_25
public static final Unisim IBUFDS_LVDS_25_DCI
public static final Unisim IBUFDS_LVDS_33
public static final Unisim IBUFDS_LVDS_33_DCI
public static final Unisim IBUFDS_LVPECL_25
public static final Unisim IBUFDS_LVPECL_33
public static final Unisim IBUFDS_ULVDS_25
public static final Unisim IBUFE3
public static final Unisim IBUFG
public static final Unisim IBUFGDS
public static final Unisim IBUFGDS_BLVDS_25
public static final Unisim IBUFGDS_DIFF_OUT
public static final Unisim IBUFGDS_LDT_25
public static final Unisim IBUFGDS_LVDSEXT_25
public static final Unisim IBUFGDS_LVDSEXT_25_DCI
public static final Unisim IBUFGDS_LVDSEXT_33
public static final Unisim IBUFGDS_LVDSEXT_33_DCI
public static final Unisim IBUFGDS_LVDS_25
public static final Unisim IBUFGDS_LVDS_25_DCI
public static final Unisim IBUFGDS_LVDS_33
public static final Unisim IBUFGDS_LVDS_33_DCI
public static final Unisim IBUFGDS_LVPECL_25
public static final Unisim IBUFGDS_LVPECL_33
public static final Unisim IBUFGDS_ULVDS_25
public static final Unisim IBUFG_AGP
public static final Unisim IBUFG_CTT
public static final Unisim IBUFG_GTL
public static final Unisim IBUFG_GTLP
public static final Unisim IBUFG_GTLP_DCI
public static final Unisim IBUFG_GTL_DCI
public static final Unisim IBUFG_HSTL_I
public static final Unisim IBUFG_HSTL_II
public static final Unisim IBUFG_HSTL_III
public static final Unisim IBUFG_HSTL_III_18
public static final Unisim IBUFG_HSTL_III_DCI
public static final Unisim IBUFG_HSTL_III_DCI_18
public static final Unisim IBUFG_HSTL_II_18
public static final Unisim IBUFG_HSTL_II_DCI
public static final Unisim IBUFG_HSTL_II_DCI_18
public static final Unisim IBUFG_HSTL_IV
public static final Unisim IBUFG_HSTL_IV_18
public static final Unisim IBUFG_HSTL_IV_DCI
public static final Unisim IBUFG_HSTL_IV_DCI_18
public static final Unisim IBUFG_HSTL_I_18
public static final Unisim IBUFG_HSTL_I_DCI
public static final Unisim IBUFG_HSTL_I_DCI_18
public static final Unisim IBUFG_LVCMOS12
public static final Unisim IBUFG_LVCMOS15
public static final Unisim IBUFG_LVCMOS18
public static final Unisim IBUFG_LVCMOS2
public static final Unisim IBUFG_LVCMOS25
public static final Unisim IBUFG_LVCMOS33
public static final Unisim IBUFG_LVDCI_15
public static final Unisim IBUFG_LVDCI_18
public static final Unisim IBUFG_LVDCI_25
public static final Unisim IBUFG_LVDCI_33
public static final Unisim IBUFG_LVDCI_DV2_15
public static final Unisim IBUFG_LVDCI_DV2_18
public static final Unisim IBUFG_LVDCI_DV2_25
public static final Unisim IBUFG_LVDCI_DV2_33
public static final Unisim IBUFG_LVDS
public static final Unisim IBUFG_LVPECL
public static final Unisim IBUFG_LVTTL
public static final Unisim IBUFG_PCI33_3
public static final Unisim IBUFG_PCI33_5
public static final Unisim IBUFG_PCI66_3
public static final Unisim IBUFG_PCIX
public static final Unisim IBUFG_PCIX66_3
public static final Unisim IBUFG_SSTL18_I
public static final Unisim IBUFG_SSTL18_II
public static final Unisim IBUFG_SSTL18_II_DCI
public static final Unisim IBUFG_SSTL18_I_DCI
public static final Unisim IBUFG_SSTL2_I
public static final Unisim IBUFG_SSTL2_II
public static final Unisim IBUFG_SSTL2_II_DCI
public static final Unisim IBUFG_SSTL2_I_DCI
public static final Unisim IBUFG_SSTL3_I
public static final Unisim IBUFG_SSTL3_II
public static final Unisim IBUFG_SSTL3_II_DCI
public static final Unisim IBUFG_SSTL3_I_DCI
public static final Unisim IBUF_AGP
public static final Unisim IBUF_ANALOG
public static final Unisim IBUF_CTT
public static final Unisim IBUF_GTL
public static final Unisim IBUF_GTLP
public static final Unisim IBUF_GTLP_DCI
public static final Unisim IBUF_GTL_DCI
public static final Unisim IBUF_HSTL_I
public static final Unisim IBUF_HSTL_II
public static final Unisim IBUF_HSTL_III
public static final Unisim IBUF_HSTL_III_18
public static final Unisim IBUF_HSTL_III_DCI
public static final Unisim IBUF_HSTL_III_DCI_18
public static final Unisim IBUF_HSTL_II_18
public static final Unisim IBUF_HSTL_II_DCI
public static final Unisim IBUF_HSTL_II_DCI_18
public static final Unisim IBUF_HSTL_IV
public static final Unisim IBUF_HSTL_IV_18
public static final Unisim IBUF_HSTL_IV_DCI
public static final Unisim IBUF_HSTL_IV_DCI_18
public static final Unisim IBUF_HSTL_I_18
public static final Unisim IBUF_HSTL_I_DCI
public static final Unisim IBUF_HSTL_I_DCI_18
public static final Unisim IBUF_IBUFDISABLE
public static final Unisim IBUF_INTERMDISABLE
public static final Unisim IBUF_LVCMOS12
public static final Unisim IBUF_LVCMOS15
public static final Unisim IBUF_LVCMOS18
public static final Unisim IBUF_LVCMOS2
public static final Unisim IBUF_LVCMOS25
public static final Unisim IBUF_LVCMOS33
public static final Unisim IBUF_LVDCI_15
public static final Unisim IBUF_LVDCI_18
public static final Unisim IBUF_LVDCI_25
public static final Unisim IBUF_LVDCI_33
public static final Unisim IBUF_LVDCI_DV2_15
public static final Unisim IBUF_LVDCI_DV2_18
public static final Unisim IBUF_LVDCI_DV2_25
public static final Unisim IBUF_LVDCI_DV2_33
public static final Unisim IBUF_LVDS
public static final Unisim IBUF_LVPECL
public static final Unisim IBUF_LVTTL
public static final Unisim IBUF_PCI33_3
public static final Unisim IBUF_PCI33_5
public static final Unisim IBUF_PCI66_3
public static final Unisim IBUF_PCIX
public static final Unisim IBUF_PCIX66_3
public static final Unisim IBUF_SSTL18_I
public static final Unisim IBUF_SSTL18_II
public static final Unisim IBUF_SSTL18_II_DCI
public static final Unisim IBUF_SSTL18_I_DCI
public static final Unisim IBUF_SSTL2_I
public static final Unisim IBUF_SSTL2_II
public static final Unisim IBUF_SSTL2_II_DCI
public static final Unisim IBUF_SSTL2_I_DCI
public static final Unisim IBUF_SSTL3_I
public static final Unisim IBUF_SSTL3_II
public static final Unisim IBUF_SSTL3_II_DCI
public static final Unisim IBUF_SSTL3_I_DCI
public static final Unisim ICAPE2
public static final Unisim ICAPE3
public static final Unisim ICAP_SPARTAN3A
public static final Unisim ICAP_SPARTAN6
public static final Unisim ICAP_VIRTEX4
public static final Unisim ICAP_VIRTEX5
public static final Unisim ICAP_VIRTEX6
public static final Unisim IDDR
public static final Unisim IDDR2
public static final Unisim IDDRE1
public static final Unisim IDDR_2CLK
public static final Unisim IDELAY
public static final Unisim IDELAYCTRL
public static final Unisim IDELAYE2
public static final Unisim IDELAYE2_FINEDELAY
public static final Unisim IDELAYE3
public static final Unisim IDELAYE5
public static final Unisim ILKN
public static final Unisim ILKNE4
public static final Unisim ILKNF
public static final Unisim IMC_FF
public static final Unisim IMI_FF
public static final Unisim INBUF
public static final Unisim INV
public static final Unisim IN_FIFO
public static final Unisim IOBUF
public static final Unisim IOBUFDS
public static final Unisim IOBUFDSE3
public static final Unisim IOBUFDS_BLVDS_25
public static final Unisim IOBUFDS_COMP
public static final Unisim IOBUFDS_COMP_ODDR
public static final Unisim IOBUFDS_DCIEN
public static final Unisim IOBUFDS_DIFF_OUT
public static final Unisim IOBUFDS_DIFF_OUT_DCIEN
public static final Unisim IOBUFDS_DIFF_OUT_INTERMDISABLE
public static final Unisim IOBUFDS_DIFF_OUT_INTERMDISABLE_ODDR
public static final Unisim IOBUFDS_DIFF_OUT_ODDR
public static final Unisim IOBUFDS_INTERMDISABLE
public static final Unisim IOBUFDS_INTERMDISABLE_ODDR
public static final Unisim IOBUFDS_ODDR
public static final Unisim IOBUFE3
public static final Unisim IOBUF_AGP
public static final Unisim IOBUF_ANALOG
public static final Unisim IOBUF_CTT
public static final Unisim IOBUF_DCIEN
public static final Unisim IOBUF_F_12
public static final Unisim IOBUF_F_16
public static final Unisim IOBUF_F_2
public static final Unisim IOBUF_F_24
public static final Unisim IOBUF_F_4
public static final Unisim IOBUF_F_6
public static final Unisim IOBUF_F_8
public static final Unisim IOBUF_GTL
public static final Unisim IOBUF_GTLP
public static final Unisim IOBUF_GTLP_DCI
public static final Unisim IOBUF_GTL_DCI
public static final Unisim IOBUF_HSTL_I
public static final Unisim IOBUF_HSTL_II
public static final Unisim IOBUF_HSTL_III
public static final Unisim IOBUF_HSTL_III_18
public static final Unisim IOBUF_HSTL_II_18
public static final Unisim IOBUF_HSTL_II_DCI
public static final Unisim IOBUF_HSTL_II_DCI_18
public static final Unisim IOBUF_HSTL_IV
public static final Unisim IOBUF_HSTL_IV_18
public static final Unisim IOBUF_HSTL_IV_DCI
public static final Unisim IOBUF_HSTL_IV_DCI_18
public static final Unisim IOBUF_HSTL_I_18
public static final Unisim IOBUF_INTERMDISABLE
public static final Unisim IOBUF_LVCMOS12
public static final Unisim IOBUF_LVCMOS12_F_2
public static final Unisim IOBUF_LVCMOS12_F_4
public static final Unisim IOBUF_LVCMOS12_F_6
public static final Unisim IOBUF_LVCMOS12_F_8
public static final Unisim IOBUF_LVCMOS12_S_2
public static final Unisim IOBUF_LVCMOS12_S_4
public static final Unisim IOBUF_LVCMOS12_S_6
public static final Unisim IOBUF_LVCMOS12_S_8
public static final Unisim IOBUF_LVCMOS15
public static final Unisim IOBUF_LVCMOS15_F_12
public static final Unisim IOBUF_LVCMOS15_F_16
public static final Unisim IOBUF_LVCMOS15_F_2
public static final Unisim IOBUF_LVCMOS15_F_4
public static final Unisim IOBUF_LVCMOS15_F_6
public static final Unisim IOBUF_LVCMOS15_F_8
public static final Unisim IOBUF_LVCMOS15_S_12
public static final Unisim IOBUF_LVCMOS15_S_16
public static final Unisim IOBUF_LVCMOS15_S_2
public static final Unisim IOBUF_LVCMOS15_S_4
public static final Unisim IOBUF_LVCMOS15_S_6
public static final Unisim IOBUF_LVCMOS15_S_8
public static final Unisim IOBUF_LVCMOS18
public static final Unisim IOBUF_LVCMOS18_F_12
public static final Unisim IOBUF_LVCMOS18_F_16
public static final Unisim IOBUF_LVCMOS18_F_2
public static final Unisim IOBUF_LVCMOS18_F_4
public static final Unisim IOBUF_LVCMOS18_F_6
public static final Unisim IOBUF_LVCMOS18_F_8
public static final Unisim IOBUF_LVCMOS18_S_12
public static final Unisim IOBUF_LVCMOS18_S_16
public static final Unisim IOBUF_LVCMOS18_S_2
public static final Unisim IOBUF_LVCMOS18_S_4
public static final Unisim IOBUF_LVCMOS18_S_6
public static final Unisim IOBUF_LVCMOS18_S_8
public static final Unisim IOBUF_LVCMOS2
public static final Unisim IOBUF_LVCMOS25
public static final Unisim IOBUF_LVCMOS25_F_12
public static final Unisim IOBUF_LVCMOS25_F_16
public static final Unisim IOBUF_LVCMOS25_F_2
public static final Unisim IOBUF_LVCMOS25_F_24
public static final Unisim IOBUF_LVCMOS25_F_4
public static final Unisim IOBUF_LVCMOS25_F_6
public static final Unisim IOBUF_LVCMOS25_F_8
public static final Unisim IOBUF_LVCMOS25_S_12
public static final Unisim IOBUF_LVCMOS25_S_16
public static final Unisim IOBUF_LVCMOS25_S_2
public static final Unisim IOBUF_LVCMOS25_S_24
public static final Unisim IOBUF_LVCMOS25_S_4
public static final Unisim IOBUF_LVCMOS25_S_6
public static final Unisim IOBUF_LVCMOS25_S_8
public static final Unisim IOBUF_LVCMOS33
public static final Unisim IOBUF_LVCMOS33_F_12
public static final Unisim IOBUF_LVCMOS33_F_16
public static final Unisim IOBUF_LVCMOS33_F_2
public static final Unisim IOBUF_LVCMOS33_F_24
public static final Unisim IOBUF_LVCMOS33_F_4
public static final Unisim IOBUF_LVCMOS33_F_6
public static final Unisim IOBUF_LVCMOS33_F_8
public static final Unisim IOBUF_LVCMOS33_S_12
public static final Unisim IOBUF_LVCMOS33_S_16
public static final Unisim IOBUF_LVCMOS33_S_2
public static final Unisim IOBUF_LVCMOS33_S_24
public static final Unisim IOBUF_LVCMOS33_S_4
public static final Unisim IOBUF_LVCMOS33_S_6
public static final Unisim IOBUF_LVCMOS33_S_8
public static final Unisim IOBUF_LVDCI_15
public static final Unisim IOBUF_LVDCI_18
public static final Unisim IOBUF_LVDCI_25
public static final Unisim IOBUF_LVDCI_33
public static final Unisim IOBUF_LVDCI_DV2_15
public static final Unisim IOBUF_LVDCI_DV2_18
public static final Unisim IOBUF_LVDCI_DV2_25
public static final Unisim IOBUF_LVDCI_DV2_33
public static final Unisim IOBUF_LVDS
public static final Unisim IOBUF_LVPECL
public static final Unisim IOBUF_LVTTL
public static final Unisim IOBUF_LVTTL_F_12
public static final Unisim IOBUF_LVTTL_F_16
public static final Unisim IOBUF_LVTTL_F_2
public static final Unisim IOBUF_LVTTL_F_24
public static final Unisim IOBUF_LVTTL_F_4
public static final Unisim IOBUF_LVTTL_F_6
public static final Unisim IOBUF_LVTTL_F_8
public static final Unisim IOBUF_LVTTL_S_12
public static final Unisim IOBUF_LVTTL_S_16
public static final Unisim IOBUF_LVTTL_S_2
public static final Unisim IOBUF_LVTTL_S_24
public static final Unisim IOBUF_LVTTL_S_4
public static final Unisim IOBUF_LVTTL_S_6
public static final Unisim IOBUF_LVTTL_S_8
public static final Unisim IOBUF_ODDR
public static final Unisim IOBUF_PCI33_3
public static final Unisim IOBUF_PCI33_5
public static final Unisim IOBUF_PCI66_3
public static final Unisim IOBUF_PCIX
public static final Unisim IOBUF_PCIX66_3
public static final Unisim IOBUF_SSTL18_I
public static final Unisim IOBUF_SSTL18_II
public static final Unisim IOBUF_SSTL18_II_DCI
public static final Unisim IOBUF_SSTL2_I
public static final Unisim IOBUF_SSTL2_II
public static final Unisim IOBUF_SSTL2_II_DCI
public static final Unisim IOBUF_SSTL3_I
public static final Unisim IOBUF_SSTL3_II
public static final Unisim IOBUF_SSTL3_II_DCI
public static final Unisim IOBUF_S_12
public static final Unisim IOBUF_S_16
public static final Unisim IOBUF_S_2
public static final Unisim IOBUF_S_24
public static final Unisim IOBUF_S_4
public static final Unisim IOBUF_S_6
public static final Unisim IOBUF_S_8
public static final Unisim IODELAY
public static final Unisim IODELAY2
public static final Unisim IODELAYE1
public static final Unisim ISERDES
public static final Unisim ISERDESE1
public static final Unisim ISERDESE2
public static final Unisim ISERDESE3
public static final Unisim ISERDES_NODELAY
public static final Unisim ISP2
public static final Unisim JTAG_SIME2
public static final Unisim KEEPER
public static final Unisim KEY_CLEAR
public static final Unisim LCLK_DELAY
public static final Unisim LD
public static final Unisim LDC
public static final Unisim LDCE
public static final Unisim LDCE_1
public static final Unisim LDCP
public static final Unisim LDCPE
public static final Unisim LDCPE_1
public static final Unisim LDCP_1
public static final Unisim LDC_1
public static final Unisim LDE
public static final Unisim LDE_1
public static final Unisim LDP
public static final Unisim LDPE
public static final Unisim LDPE_1
public static final Unisim LDP_1
public static final Unisim LD_1
public static final Unisim LOOKAHEAD8
public static final Unisim LUT1
public static final Unisim LUT1_D
public static final Unisim LUT1_L
public static final Unisim LUT2
public static final Unisim LUT2_D
public static final Unisim LUT2_L
public static final Unisim LUT3
public static final Unisim LUT3_D
public static final Unisim LUT3_L
public static final Unisim LUT4
public static final Unisim LUT4_D
public static final Unisim LUT4_L
public static final Unisim LUT5
public static final Unisim LUT5_D
public static final Unisim LUT5_L
public static final Unisim LUT6
public static final Unisim LUT6CY
public static final Unisim LUT6_2
public static final Unisim LUT6_D
public static final Unisim LUT6_L
public static final Unisim LUTCY1
public static final Unisim LUTCY2
public static final Unisim MASTER_JTAG
public static final Unisim MBUFGCE
public static final Unisim MBUFGCE_DIV
public static final Unisim MBUFGCTRL
public static final Unisim MBUFG_GT
public static final Unisim MBUFG_HM
public static final Unisim MBUFG_PS
public static final Unisim ME_NOC_M_AXI
public static final Unisim ME_NOC_M_AXIS
public static final Unisim ME_NOC_S_AXI
public static final Unisim ME_NOC_S_AXIS
public static final Unisim ME_PL_M_AXIS128
public static final Unisim ME_PL_M_AXIS32
public static final Unisim ME_PL_M_AXIS64
public static final Unisim ME_PL_M_EVENTS
public static final Unisim ME_PL_S_AXIS128
public static final Unisim ME_PL_S_AXIS32
public static final Unisim ME_PL_S_AXIS64
public static final Unisim ME_PL_S_EVENTS
public static final Unisim MMCME2_ADV
public static final Unisim MMCME2_BASE
public static final Unisim MMCME3_ADV
public static final Unisim MMCME3_BASE
public static final Unisim MMCME4_ADV
public static final Unisim MMCME4_BASE
public static final Unisim MMCME5
public static final Unisim MMCM_ADV
public static final Unisim MMCM_BASE
public static final Unisim MMI
public static final Unisim MRMAC
public static final Unisim MULT18X18
public static final Unisim MULT18X18S
public static final Unisim MULT18X18SIO
public static final Unisim MULT_AND
public static final Unisim MUXCY
public static final Unisim MUXCY_D
public static final Unisim MUXCY_L
public static final Unisim MUXF5
public static final Unisim MUXF5_D
public static final Unisim MUXF5_L
public static final Unisim MUXF6
public static final Unisim MUXF6_D
public static final Unisim MUXF6_L
public static final Unisim MUXF7
public static final Unisim MUXF7_D
public static final Unisim MUXF7_L
public static final Unisim MUXF8
public static final Unisim MUXF8_D
public static final Unisim MUXF8_L
public static final Unisim MUXF9
public static final Unisim NAND2
public static final Unisim NAND2B1
public static final Unisim NAND2B2
public static final Unisim NAND3
public static final Unisim NAND3B1
public static final Unisim NAND3B2
public static final Unisim NAND3B3
public static final Unisim NAND4
public static final Unisim NAND4B1
public static final Unisim NAND4B2
public static final Unisim NAND4B3
public static final Unisim NAND4B4
public static final Unisim NAND5
public static final Unisim NAND5B1
public static final Unisim NAND5B2
public static final Unisim NAND5B3
public static final Unisim NAND5B4
public static final Unisim NAND5B5
public static final Unisim NOC2_NMU128
public static final Unisim NOC2_NMU256
public static final Unisim NOC2_NMU512
public static final Unisim NOC2_NPS5555
public static final Unisim NOC2_NPS7575
public static final Unisim NOC2_NSU128
public static final Unisim NOC2_NSU256
public static final Unisim NOC2_NSU512
public static final Unisim NOC2_XBR2X4
public static final Unisim NOC2_XBR4X2
public static final Unisim NOC_NCRB
public static final Unisim NOC_NCRB_SSIT
public static final Unisim NOC_NIDB
public static final Unisim NOC_NMU128
public static final Unisim NOC_NMU256
public static final Unisim NOC_NMU512
public static final Unisim NOC_NMU_HBM2E
public static final Unisim NOC_NPP_RPTR
public static final Unisim NOC_NPS4
public static final Unisim NOC_NPS5555
public static final Unisim NOC_NPS6
public static final Unisim NOC_NPS7575
public static final Unisim NOC_NPS_VNOC
public static final Unisim NOC_NSU128
public static final Unisim NOC_NSU512
public static final Unisim NOR2
public static final Unisim NOR2B1
public static final Unisim NOR2B2
public static final Unisim NOR3
public static final Unisim NOR3B1
public static final Unisim NOR3B2
public static final Unisim NOR3B3
public static final Unisim NOR4
public static final Unisim NOR4B1
public static final Unisim NOR4B2
public static final Unisim NOR4B3
public static final Unisim NOR4B4
public static final Unisim NOR5
public static final Unisim NOR5B1
public static final Unisim NOR5B2
public static final Unisim NOR5B3
public static final Unisim NOR5B4
public static final Unisim NOR5B5
public static final Unisim NPI_NIR
public static final Unisim OBUF
public static final Unisim OBUFDS
public static final Unisim OBUFDS_BLVDS_25
public static final Unisim OBUFDS_COMP
public static final Unisim OBUFDS_COMP_ODDR
public static final Unisim OBUFDS_DPHY
public static final Unisim OBUFDS_DPHY_COMP
public static final Unisim OBUFDS_GTE3
public static final Unisim OBUFDS_GTE3_ADV
public static final Unisim OBUFDS_GTE4
public static final Unisim OBUFDS_GTE4_ADV
public static final Unisim OBUFDS_GTE5
public static final Unisim OBUFDS_GTE5_ADV
public static final Unisim OBUFDS_GTM
public static final Unisim OBUFDS_GTME5
public static final Unisim OBUFDS_GTME5_ADV
public static final Unisim OBUFDS_GTM_ADV
public static final Unisim OBUFDS_LDT_25
public static final Unisim OBUFDS_LVDSEXT_25
public static final Unisim OBUFDS_LVDSEXT_33
public static final Unisim OBUFDS_LVDS_25
public static final Unisim OBUFDS_LVDS_33
public static final Unisim OBUFDS_LVPECL_25
public static final Unisim OBUFDS_LVPECL_33
public static final Unisim OBUFDS_ODDR
public static final Unisim OBUFDS_ULVDS_25
public static final Unisim OBUFT
public static final Unisim OBUFTDS
public static final Unisim OBUFTDS_BLVDS_25
public static final Unisim OBUFTDS_COMP
public static final Unisim OBUFTDS_COMP_ODDR
public static final Unisim OBUFTDS_DCIEN
public static final Unisim OBUFTDS_LDT_25
public static final Unisim OBUFTDS_LVDSEXT_25
public static final Unisim OBUFTDS_LVDSEXT_33
public static final Unisim OBUFTDS_LVDS_25
public static final Unisim OBUFTDS_LVDS_33
public static final Unisim OBUFTDS_LVPECL_25
public static final Unisim OBUFTDS_LVPECL_33
public static final Unisim OBUFTDS_ODDR
public static final Unisim OBUFTDS_ULVDS_25
public static final Unisim OBUFT_AGP
public static final Unisim OBUFT_CTT
public static final Unisim OBUFT_DCIEN
public static final Unisim OBUFT_F_12
public static final Unisim OBUFT_F_16
public static final Unisim OBUFT_F_2
public static final Unisim OBUFT_F_24
public static final Unisim OBUFT_F_4
public static final Unisim OBUFT_F_6
public static final Unisim OBUFT_F_8
public static final Unisim OBUFT_GTL
public static final Unisim OBUFT_GTLP
public static final Unisim OBUFT_GTLP_DCI
public static final Unisim OBUFT_GTL_DCI
public static final Unisim OBUFT_HSTL_I
public static final Unisim OBUFT_HSTL_II
public static final Unisim OBUFT_HSTL_III
public static final Unisim OBUFT_HSTL_III_18
public static final Unisim OBUFT_HSTL_III_DCI
public static final Unisim OBUFT_HSTL_III_DCI_18
public static final Unisim OBUFT_HSTL_II_18
public static final Unisim OBUFT_HSTL_II_DCI
public static final Unisim OBUFT_HSTL_II_DCI_18
public static final Unisim OBUFT_HSTL_IV
public static final Unisim OBUFT_HSTL_IV_18
public static final Unisim OBUFT_HSTL_IV_DCI
public static final Unisim OBUFT_HSTL_IV_DCI_18
public static final Unisim OBUFT_HSTL_I_18
public static final Unisim OBUFT_HSTL_I_DCI
public static final Unisim OBUFT_HSTL_I_DCI_18
public static final Unisim OBUFT_LVCMOS12
public static final Unisim OBUFT_LVCMOS12_F_2
public static final Unisim OBUFT_LVCMOS12_F_4
public static final Unisim OBUFT_LVCMOS12_F_6
public static final Unisim OBUFT_LVCMOS12_F_8
public static final Unisim OBUFT_LVCMOS12_S_2
public static final Unisim OBUFT_LVCMOS12_S_4
public static final Unisim OBUFT_LVCMOS12_S_6
public static final Unisim OBUFT_LVCMOS12_S_8
public static final Unisim OBUFT_LVCMOS15
public static final Unisim OBUFT_LVCMOS15_F_12
public static final Unisim OBUFT_LVCMOS15_F_16
public static final Unisim OBUFT_LVCMOS15_F_2
public static final Unisim OBUFT_LVCMOS15_F_4
public static final Unisim OBUFT_LVCMOS15_F_6
public static final Unisim OBUFT_LVCMOS15_F_8
public static final Unisim OBUFT_LVCMOS15_S_12
public static final Unisim OBUFT_LVCMOS15_S_16
public static final Unisim OBUFT_LVCMOS15_S_2
public static final Unisim OBUFT_LVCMOS15_S_4
public static final Unisim OBUFT_LVCMOS15_S_6
public static final Unisim OBUFT_LVCMOS15_S_8
public static final Unisim OBUFT_LVCMOS18
public static final Unisim OBUFT_LVCMOS18_F_12
public static final Unisim OBUFT_LVCMOS18_F_16
public static final Unisim OBUFT_LVCMOS18_F_2
public static final Unisim OBUFT_LVCMOS18_F_4
public static final Unisim OBUFT_LVCMOS18_F_6
public static final Unisim OBUFT_LVCMOS18_F_8
public static final Unisim OBUFT_LVCMOS18_S_12
public static final Unisim OBUFT_LVCMOS18_S_16
public static final Unisim OBUFT_LVCMOS18_S_2
public static final Unisim OBUFT_LVCMOS18_S_4
public static final Unisim OBUFT_LVCMOS18_S_6
public static final Unisim OBUFT_LVCMOS18_S_8
public static final Unisim OBUFT_LVCMOS2
public static final Unisim OBUFT_LVCMOS25
public static final Unisim OBUFT_LVCMOS25_F_12
public static final Unisim OBUFT_LVCMOS25_F_16
public static final Unisim OBUFT_LVCMOS25_F_2
public static final Unisim OBUFT_LVCMOS25_F_24
public static final Unisim OBUFT_LVCMOS25_F_4
public static final Unisim OBUFT_LVCMOS25_F_6
public static final Unisim OBUFT_LVCMOS25_F_8
public static final Unisim OBUFT_LVCMOS25_S_12
public static final Unisim OBUFT_LVCMOS25_S_16
public static final Unisim OBUFT_LVCMOS25_S_2
public static final Unisim OBUFT_LVCMOS25_S_24
public static final Unisim OBUFT_LVCMOS25_S_4
public static final Unisim OBUFT_LVCMOS25_S_6
public static final Unisim OBUFT_LVCMOS25_S_8
public static final Unisim OBUFT_LVCMOS33
public static final Unisim OBUFT_LVCMOS33_F_12
public static final Unisim OBUFT_LVCMOS33_F_16
public static final Unisim OBUFT_LVCMOS33_F_2
public static final Unisim OBUFT_LVCMOS33_F_24
public static final Unisim OBUFT_LVCMOS33_F_4
public static final Unisim OBUFT_LVCMOS33_F_6
public static final Unisim OBUFT_LVCMOS33_F_8
public static final Unisim OBUFT_LVCMOS33_S_12
public static final Unisim OBUFT_LVCMOS33_S_16
public static final Unisim OBUFT_LVCMOS33_S_2
public static final Unisim OBUFT_LVCMOS33_S_24
public static final Unisim OBUFT_LVCMOS33_S_4
public static final Unisim OBUFT_LVCMOS33_S_6
public static final Unisim OBUFT_LVCMOS33_S_8
public static final Unisim OBUFT_LVDCI_15
public static final Unisim OBUFT_LVDCI_18
public static final Unisim OBUFT_LVDCI_25
public static final Unisim OBUFT_LVDCI_33
public static final Unisim OBUFT_LVDCI_DV2_15
public static final Unisim OBUFT_LVDCI_DV2_18
public static final Unisim OBUFT_LVDCI_DV2_25
public static final Unisim OBUFT_LVDCI_DV2_33
public static final Unisim OBUFT_LVDS
public static final Unisim OBUFT_LVPECL
public static final Unisim OBUFT_LVTTL
public static final Unisim OBUFT_LVTTL_F_12
public static final Unisim OBUFT_LVTTL_F_16
public static final Unisim OBUFT_LVTTL_F_2
public static final Unisim OBUFT_LVTTL_F_24
public static final Unisim OBUFT_LVTTL_F_4
public static final Unisim OBUFT_LVTTL_F_6
public static final Unisim OBUFT_LVTTL_F_8
public static final Unisim OBUFT_LVTTL_S_12
public static final Unisim OBUFT_LVTTL_S_16
public static final Unisim OBUFT_LVTTL_S_2
public static final Unisim OBUFT_LVTTL_S_24
public static final Unisim OBUFT_LVTTL_S_4
public static final Unisim OBUFT_LVTTL_S_6
public static final Unisim OBUFT_LVTTL_S_8
public static final Unisim OBUFT_ODDR
public static final Unisim OBUFT_PCI33_3
public static final Unisim OBUFT_PCI33_5
public static final Unisim OBUFT_PCI66_3
public static final Unisim OBUFT_PCIX
public static final Unisim OBUFT_PCIX66_3
public static final Unisim OBUFT_SSTL18_I
public static final Unisim OBUFT_SSTL18_II
public static final Unisim OBUFT_SSTL18_II_DCI
public static final Unisim OBUFT_SSTL18_I_DCI
public static final Unisim OBUFT_SSTL2_I
public static final Unisim OBUFT_SSTL2_II
public static final Unisim OBUFT_SSTL2_II_DCI
public static final Unisim OBUFT_SSTL2_I_DCI
public static final Unisim OBUFT_SSTL3_I
public static final Unisim OBUFT_SSTL3_II
public static final Unisim OBUFT_SSTL3_II_DCI
public static final Unisim OBUFT_SSTL3_I_DCI
public static final Unisim OBUFT_S_12
public static final Unisim OBUFT_S_16
public static final Unisim OBUFT_S_2
public static final Unisim OBUFT_S_24
public static final Unisim OBUFT_S_4
public static final Unisim OBUFT_S_6
public static final Unisim OBUFT_S_8
public static final Unisim OBUF_AGP
public static final Unisim OBUF_CTT
public static final Unisim OBUF_F_12
public static final Unisim OBUF_F_16
public static final Unisim OBUF_F_2
public static final Unisim OBUF_F_24
public static final Unisim OBUF_F_4
public static final Unisim OBUF_F_6
public static final Unisim OBUF_F_8
public static final Unisim OBUF_GTL
public static final Unisim OBUF_GTLP
public static final Unisim OBUF_GTLP_DCI
public static final Unisim OBUF_GTL_DCI
public static final Unisim OBUF_HSTL_I
public static final Unisim OBUF_HSTL_II
public static final Unisim OBUF_HSTL_III
public static final Unisim OBUF_HSTL_III_18
public static final Unisim OBUF_HSTL_III_DCI
public static final Unisim OBUF_HSTL_III_DCI_18
public static final Unisim OBUF_HSTL_II_18
public static final Unisim OBUF_HSTL_II_DCI
public static final Unisim OBUF_HSTL_II_DCI_18
public static final Unisim OBUF_HSTL_IV
public static final Unisim OBUF_HSTL_IV_18
public static final Unisim OBUF_HSTL_IV_DCI
public static final Unisim OBUF_HSTL_IV_DCI_18
public static final Unisim OBUF_HSTL_I_18
public static final Unisim OBUF_HSTL_I_DCI
public static final Unisim OBUF_HSTL_I_DCI_18
public static final Unisim OBUF_LVCMOS12
public static final Unisim OBUF_LVCMOS12_F_2
public static final Unisim OBUF_LVCMOS12_F_4
public static final Unisim OBUF_LVCMOS12_F_6
public static final Unisim OBUF_LVCMOS12_F_8
public static final Unisim OBUF_LVCMOS12_S_2
public static final Unisim OBUF_LVCMOS12_S_4
public static final Unisim OBUF_LVCMOS12_S_6
public static final Unisim OBUF_LVCMOS12_S_8
public static final Unisim OBUF_LVCMOS15
public static final Unisim OBUF_LVCMOS15_F_12
public static final Unisim OBUF_LVCMOS15_F_16
public static final Unisim OBUF_LVCMOS15_F_2
public static final Unisim OBUF_LVCMOS15_F_4
public static final Unisim OBUF_LVCMOS15_F_6
public static final Unisim OBUF_LVCMOS15_F_8
public static final Unisim OBUF_LVCMOS15_S_12
public static final Unisim OBUF_LVCMOS15_S_16
public static final Unisim OBUF_LVCMOS15_S_2
public static final Unisim OBUF_LVCMOS15_S_4
public static final Unisim OBUF_LVCMOS15_S_6
public static final Unisim OBUF_LVCMOS15_S_8
public static final Unisim OBUF_LVCMOS18
public static final Unisim OBUF_LVCMOS18_F_12
public static final Unisim OBUF_LVCMOS18_F_16
public static final Unisim OBUF_LVCMOS18_F_2
public static final Unisim OBUF_LVCMOS18_F_4
public static final Unisim OBUF_LVCMOS18_F_6
public static final Unisim OBUF_LVCMOS18_F_8
public static final Unisim OBUF_LVCMOS18_S_12
public static final Unisim OBUF_LVCMOS18_S_16
public static final Unisim OBUF_LVCMOS18_S_2
public static final Unisim OBUF_LVCMOS18_S_4
public static final Unisim OBUF_LVCMOS18_S_6
public static final Unisim OBUF_LVCMOS18_S_8
public static final Unisim OBUF_LVCMOS2
public static final Unisim OBUF_LVCMOS25
public static final Unisim OBUF_LVCMOS25_F_12
public static final Unisim OBUF_LVCMOS25_F_16
public static final Unisim OBUF_LVCMOS25_F_2
public static final Unisim OBUF_LVCMOS25_F_24
public static final Unisim OBUF_LVCMOS25_F_4
public static final Unisim OBUF_LVCMOS25_F_6
public static final Unisim OBUF_LVCMOS25_F_8
public static final Unisim OBUF_LVCMOS25_S_12
public static final Unisim OBUF_LVCMOS25_S_16
public static final Unisim OBUF_LVCMOS25_S_2
public static final Unisim OBUF_LVCMOS25_S_24
public static final Unisim OBUF_LVCMOS25_S_4
public static final Unisim OBUF_LVCMOS25_S_6
public static final Unisim OBUF_LVCMOS25_S_8
public static final Unisim OBUF_LVCMOS33
public static final Unisim OBUF_LVCMOS33_F_12
public static final Unisim OBUF_LVCMOS33_F_16
public static final Unisim OBUF_LVCMOS33_F_2
public static final Unisim OBUF_LVCMOS33_F_24
public static final Unisim OBUF_LVCMOS33_F_4
public static final Unisim OBUF_LVCMOS33_F_6
public static final Unisim OBUF_LVCMOS33_F_8
public static final Unisim OBUF_LVCMOS33_S_12
public static final Unisim OBUF_LVCMOS33_S_16
public static final Unisim OBUF_LVCMOS33_S_2
public static final Unisim OBUF_LVCMOS33_S_24
public static final Unisim OBUF_LVCMOS33_S_4
public static final Unisim OBUF_LVCMOS33_S_6
public static final Unisim OBUF_LVCMOS33_S_8
public static final Unisim OBUF_LVDCI_15
public static final Unisim OBUF_LVDCI_18
public static final Unisim OBUF_LVDCI_25
public static final Unisim OBUF_LVDCI_33
public static final Unisim OBUF_LVDCI_DV2_15
public static final Unisim OBUF_LVDCI_DV2_18
public static final Unisim OBUF_LVDCI_DV2_25
public static final Unisim OBUF_LVDCI_DV2_33
public static final Unisim OBUF_LVDS
public static final Unisim OBUF_LVPECL
public static final Unisim OBUF_LVTTL
public static final Unisim OBUF_LVTTL_F_12
public static final Unisim OBUF_LVTTL_F_16
public static final Unisim OBUF_LVTTL_F_2
public static final Unisim OBUF_LVTTL_F_24
public static final Unisim OBUF_LVTTL_F_4
public static final Unisim OBUF_LVTTL_F_6
public static final Unisim OBUF_LVTTL_F_8
public static final Unisim OBUF_LVTTL_S_12
public static final Unisim OBUF_LVTTL_S_16
public static final Unisim OBUF_LVTTL_S_2
public static final Unisim OBUF_LVTTL_S_24
public static final Unisim OBUF_LVTTL_S_4
public static final Unisim OBUF_LVTTL_S_6
public static final Unisim OBUF_LVTTL_S_8
public static final Unisim OBUF_ODDR
public static final Unisim OBUF_PCI33_3
public static final Unisim OBUF_PCI33_5
public static final Unisim OBUF_PCI66_3
public static final Unisim OBUF_PCIX
public static final Unisim OBUF_PCIX66_3
public static final Unisim OBUF_SSTL18_I
public static final Unisim OBUF_SSTL18_II
public static final Unisim OBUF_SSTL18_II_DCI
public static final Unisim OBUF_SSTL18_I_DCI
public static final Unisim OBUF_SSTL2_I
public static final Unisim OBUF_SSTL2_II
public static final Unisim OBUF_SSTL2_II_DCI
public static final Unisim OBUF_SSTL2_I_DCI
public static final Unisim OBUF_SSTL3_I
public static final Unisim OBUF_SSTL3_II
public static final Unisim OBUF_SSTL3_II_DCI
public static final Unisim OBUF_SSTL3_I_DCI
public static final Unisim OBUF_S_12
public static final Unisim OBUF_S_16
public static final Unisim OBUF_S_2
public static final Unisim OBUF_S_24
public static final Unisim OBUF_S_4
public static final Unisim OBUF_S_6
public static final Unisim OBUF_S_8
public static final Unisim ODDR
public static final Unisim ODDR2
public static final Unisim ODDRE1
public static final Unisim ODELAYE2
public static final Unisim ODELAYE2_FINEDELAY
public static final Unisim ODELAYE3
public static final Unisim ODELAYE5
public static final Unisim OR2
public static final Unisim OR2B1
public static final Unisim OR2B2
public static final Unisim OR2L
public static final Unisim OR3
public static final Unisim OR3B1
public static final Unisim OR3B2
public static final Unisim OR3B3
public static final Unisim OR4
public static final Unisim OR4B1
public static final Unisim OR4B2
public static final Unisim OR4B3
public static final Unisim OR4B4
public static final Unisim OR5
public static final Unisim OR5B1
public static final Unisim OR5B2
public static final Unisim OR5B3
public static final Unisim OR5B4
public static final Unisim OR5B5
public static final Unisim OSERDES
public static final Unisim OSERDESE1
public static final Unisim OSERDESE2
public static final Unisim OSERDESE3
public static final Unisim OUT_FIFO
public static final Unisim PCIE40E4
public static final Unisim PCIE40E5
public static final Unisim PCIE4CE4
public static final Unisim PCIE50E5
public static final Unisim PCIE_2_1
public static final Unisim PCIE_3_0
public static final Unisim PCIE_3_1
public static final Unisim PHASER_IN
public static final Unisim PHASER_IN_PHY
public static final Unisim PHASER_OUT
public static final Unisim PHASER_OUT_PHY
public static final Unisim PHASER_REF
public static final Unisim PHY_CONTROL
public static final Unisim PLLE2_ADV
public static final Unisim PLLE2_BASE
public static final Unisim PLLE3_ADV
public static final Unisim PLLE3_BASE
public static final Unisim PLLE4_ADV
public static final Unisim PLLE4_BASE
public static final Unisim PLL_ADV
public static final Unisim PLL_BASE
public static final Unisim PS7
public static final Unisim PS8
public static final Unisim PS9
public static final Unisim PSX
public static final Unisim PULLDOWN
public static final Unisim PULLUP
public static final Unisim PVT_SAS
public static final Unisim RAM128X1D
public static final Unisim RAM128X1S
public static final Unisim RAM128X1S_1
public static final Unisim RAM16X1D
public static final Unisim RAM16X1D_1
public static final Unisim RAM16X1S
public static final Unisim RAM16X1S_1
public static final Unisim RAM16X2S
public static final Unisim RAM16X4S
public static final Unisim RAM16X8S
public static final Unisim RAM256X1D
public static final Unisim RAM256X1S
public static final Unisim RAM32M
public static final Unisim RAM32M16
public static final Unisim RAM32X16DR8
public static final Unisim RAM32X1D
public static final Unisim RAM32X1D_1
public static final Unisim RAM32X1S
public static final Unisim RAM32X1S_1
public static final Unisim RAM32X2S
public static final Unisim RAM32X4S
public static final Unisim RAM32X8S
public static final Unisim RAM512X1S
public static final Unisim RAM64M
public static final Unisim RAM64M8
public static final Unisim RAM64X1D
public static final Unisim RAM64X1D_1
public static final Unisim RAM64X1S
public static final Unisim RAM64X1S_1
public static final Unisim RAM64X2S
public static final Unisim RAM64X8SW
public static final Unisim RAMB16
public static final Unisim RAMB16BWE
public static final Unisim RAMB16BWER
public static final Unisim RAMB16BWE_S18
public static final Unisim RAMB16BWE_S18_S18
public static final Unisim RAMB16BWE_S18_S9
public static final Unisim RAMB16BWE_S36
public static final Unisim RAMB16BWE_S36_S18
public static final Unisim RAMB16BWE_S36_S36
public static final Unisim RAMB16BWE_S36_S9
public static final Unisim RAMB16_S1
public static final Unisim RAMB16_S18
public static final Unisim RAMB16_S18_S18
public static final Unisim RAMB16_S18_S36
public static final Unisim RAMB16_S1_S1
public static final Unisim RAMB16_S1_S18
public static final Unisim RAMB16_S1_S2
public static final Unisim RAMB16_S1_S36
public static final Unisim RAMB16_S1_S4
public static final Unisim RAMB16_S1_S9
public static final Unisim RAMB16_S2
public static final Unisim RAMB16_S2_S18
public static final Unisim RAMB16_S2_S2
public static final Unisim RAMB16_S2_S36
public static final Unisim RAMB16_S2_S4
public static final Unisim RAMB16_S2_S9
public static final Unisim RAMB16_S36
public static final Unisim RAMB16_S36_S36
public static final Unisim RAMB16_S4
public static final Unisim RAMB16_S4_S18
public static final Unisim RAMB16_S4_S36
public static final Unisim RAMB16_S4_S4
public static final Unisim RAMB16_S4_S9
public static final Unisim RAMB16_S9
public static final Unisim RAMB16_S9_S18
public static final Unisim RAMB16_S9_S36
public static final Unisim RAMB16_S9_S9
public static final Unisim RAMB18
public static final Unisim RAMB18E1
public static final Unisim RAMB18E2
public static final Unisim RAMB18E5
public static final Unisim RAMB18E5_INT
public static final Unisim RAMB18SDP
public static final Unisim RAMB36
public static final Unisim RAMB36E1
public static final Unisim RAMB36E2
public static final Unisim RAMB36E5
public static final Unisim RAMB36E5_INT
public static final Unisim RAMB36SDP
public static final Unisim RAMB8BWER
public static final Unisim RAMD32
public static final Unisim RAMD32M64
public static final Unisim RAMD64E
public static final Unisim RAMD64E5
public static final Unisim RAMS32
public static final Unisim RAMS64E
public static final Unisim RAMS64E1
public static final Unisim RAMS64E5
public static final Unisim RFADC
public static final Unisim RFADC_13B4W_M0
public static final Unisim RFADC_13B4W_M1
public static final Unisim RFDAC
public static final Unisim RIU_OR
public static final Unisim ROM128X1
public static final Unisim ROM16X1
public static final Unisim ROM256X1
public static final Unisim ROM32X1
public static final Unisim ROM64X1
public static final Unisim RXTX_BITSLICE
public static final Unisim RX_BITSLICE
public static final Unisim SIM_CONFIGE2
public static final Unisim SIM_CONFIGE3
public static final Unisim SRL16
public static final Unisim SRL16E
public static final Unisim SRL16E_1
public static final Unisim SRL16_1
public static final Unisim SRL32E
public static final Unisim SRLC16
public static final Unisim SRLC16E
public static final Unisim SRLC16E_1
public static final Unisim SRLC16_1
public static final Unisim SRLC32E
public static final Unisim STARTUPE2
public static final Unisim STARTUPE3
public static final Unisim STARTUP_SPARTAN3
public static final Unisim STARTUP_SPARTAN3A
public static final Unisim STARTUP_SPARTAN6
public static final Unisim STARTUP_VIRTEX4
public static final Unisim STARTUP_VIRTEX5
public static final Unisim STARTUP_VIRTEX6
public static final Unisim SYSMON
public static final Unisim SYSMONE1
public static final Unisim SYSMONE4
public static final Unisim TEMAC_SINGLE
public static final Unisim TX_BITSLICE
public static final Unisim TX_BITSLICE_TRI
public static final Unisim URAM288
public static final Unisim URAM288E5
public static final Unisim URAM288E5_BASE
public static final Unisim URAM288_BASE
public static final Unisim USR_ACCESSE2
public static final Unisim USR_ACCESS_VIRTEX4
public static final Unisim USR_ACCESS_VIRTEX5
public static final Unisim USR_ACCESS_VIRTEX6
public static final Unisim VCC
public static final Unisim VCU
public static final Unisim VCU2
public static final Unisim VDU
public static final Unisim X5PHIO_CMUIF
public static final Unisim X5PHIO_CMU_X32
public static final Unisim X5PHIO_DCCINVBUF
public static final Unisim X5PHIO_XCVR_X2
public static final Unisim X5PLL
public static final Unisim X5PLL_INTF
public static final Unisim X5PLL_S2P
public static final Unisim XADC
public static final Unisim XNOR2
public static final Unisim XNOR3
public static final Unisim XNOR4
public static final Unisim XNOR5
public static final Unisim XOR2
public static final Unisim XOR3
public static final Unisim XOR4
public static final Unisim XOR5
public static final Unisim XORCY
public static final Unisim XORCY_D
public static final Unisim XORCY_L
public static final Unisim XPHY
public static final Unisim XPIO_VREF
public static final Unisim XPIPE_QUAD
public static final Unisim XPLL
public static final Unisim XRAM
public static final Unisim ZHOLD_DELAY
public static Unisim[] values()
for (Unisim c : Unisim.values()) System.out.println(c);
public static Unisim valueOf(String name)
name
- the name of the enum constant to be returned.IllegalArgumentException
- if this enum type has no constant with the specified nameNullPointerException
- if the argument is nullpublic boolean hasTransform(Series s)
s
- The architectural series in question.public Unisim[] getTransform(Series s)
s
- The architectural series in question.