public enum SiteTypeEnum extends Enum<SiteTypeEnum>
Modifier and Type | Method and Description |
---|---|
static SiteTypeEnum |
valueOf(String name)
Returns the enum constant of this type with the specified name.
|
static SiteTypeEnum[] |
values()
Returns an array containing the constants of this enum type, in
the order they are declared.
|
public static final SiteTypeEnum ABUS_SWITCH
public static final SiteTypeEnum AIE_ARRAYSWITCH
public static final SiteTypeEnum AIE_CORE
public static final SiteTypeEnum AIE_FIFO
public static final SiteTypeEnum AIE_INTF_B_TEST
public static final SiteTypeEnum AIE_INTF_C_TEST
public static final SiteTypeEnum AIE_MEMGRP
public static final SiteTypeEnum AIE_ML_ARRAYSWITCH
public static final SiteTypeEnum AIE_ML_CORE
public static final SiteTypeEnum AIE_ML_FIFO
public static final SiteTypeEnum AIE_ML_MEMGRP
public static final SiteTypeEnum AIE_ML_MEM_ARRAYSWITCH
public static final SiteTypeEnum AIE_ML_MEM_MEMGRP
public static final SiteTypeEnum AIE_ML_NOC
public static final SiteTypeEnum AIE_ML_PL
public static final SiteTypeEnum AIE_ML_PLL
public static final SiteTypeEnum AIE_ML_SHIMSWITCH
public static final SiteTypeEnum AIE_ML_SHIMTRACE
public static final SiteTypeEnum AIE_ML_TILECTRL
public static final SiteTypeEnum AIE_NOC
public static final SiteTypeEnum AIE_PL
public static final SiteTypeEnum AIE_PLL
public static final SiteTypeEnum AIE_SHIMSWITCH
public static final SiteTypeEnum AIE_SHIMTRACE
public static final SiteTypeEnum AIE_TILECTRL
public static final SiteTypeEnum AMS_ADC
public static final SiteTypeEnum AMS_DAC
public static final SiteTypeEnum BFR_A
public static final SiteTypeEnum BFR_B
public static final SiteTypeEnum BIAS
public static final SiteTypeEnum BITSLICE_COMPONENT_RX_TX
public static final SiteTypeEnum BITSLICE_CONTROL
public static final SiteTypeEnum BITSLICE_RXTX_RX
public static final SiteTypeEnum BITSLICE_RXTX_TX
public static final SiteTypeEnum BITSLICE_RX_TX
public static final SiteTypeEnum BITSLICE_TX
public static final SiteTypeEnum BLI_A_GRP0
public static final SiteTypeEnum BLI_A_GRP1
public static final SiteTypeEnum BLI_A_GRP2
public static final SiteTypeEnum BLI_B_GRP0
public static final SiteTypeEnum BLI_B_GRP1
public static final SiteTypeEnum BLI_B_GRP2
public static final SiteTypeEnum BLI_C_GRP0
public static final SiteTypeEnum BLI_C_GRP1
public static final SiteTypeEnum BLI_C_GRP2
public static final SiteTypeEnum BLI_D_GRP4
public static final SiteTypeEnum BLI_D_GRP5
public static final SiteTypeEnum BLI_D_GRP6
public static final SiteTypeEnum BLI_D_GRP7
public static final SiteTypeEnum BLI_HBM_APB_INTF
public static final SiteTypeEnum BLI_HBM_AXI_INTF
public static final SiteTypeEnum BLI_TMR
public static final SiteTypeEnum BSCAN
public static final SiteTypeEnum BSCAN_JTAG_MONE2
public static final SiteTypeEnum BUFCE_LEAF
public static final SiteTypeEnum BUFCE_LEAF_X16
public static final SiteTypeEnum BUFCE_ROW
public static final SiteTypeEnum BUFCE_ROW_FSR
public static final SiteTypeEnum BUFDIV_LEAF
public static final SiteTypeEnum BUFG
public static final SiteTypeEnum BUFGCE
public static final SiteTypeEnum BUFGCE_DIV
public static final SiteTypeEnum BUFGCE_HDIO
public static final SiteTypeEnum BUFGCTRL
public static final SiteTypeEnum BUFG_FABRIC
public static final SiteTypeEnum BUFG_GT
public static final SiteTypeEnum BUFG_GT_SYNC
public static final SiteTypeEnum BUFG_HSR_TEST
public static final SiteTypeEnum BUFG_LB
public static final SiteTypeEnum BUFG_PS
public static final SiteTypeEnum BUFHCE
public static final SiteTypeEnum BUFIO
public static final SiteTypeEnum BUFMRCE
public static final SiteTypeEnum BUFR
public static final SiteTypeEnum CAPTURE
public static final SiteTypeEnum CFGIO_SITE
public static final SiteTypeEnum CFG_IO_ACCESS
public static final SiteTypeEnum CMACE4
public static final SiteTypeEnum CMAC_SITE
public static final SiteTypeEnum CMPHY_DFX_HB
public static final SiteTypeEnum CMPHY_OCTAD
public static final SiteTypeEnum CONFIG_SITE
public static final SiteTypeEnum CPM5
public static final SiteTypeEnum CPM5N
public static final SiteTypeEnum CPM_EXT
public static final SiteTypeEnum CPM_MAIN
public static final SiteTypeEnum DCI
public static final SiteTypeEnum DCIRESET
public static final SiteTypeEnum DCMAC
public static final SiteTypeEnum DDRMC
public static final SiteTypeEnum DDRMC5
public static final SiteTypeEnum DDRMC_RIU
public static final SiteTypeEnum DFE_A
public static final SiteTypeEnum DFE_B
public static final SiteTypeEnum DFE_C
public static final SiteTypeEnum DFE_D
public static final SiteTypeEnum DFE_E
public static final SiteTypeEnum DFE_F
public static final SiteTypeEnum DFE_G
public static final SiteTypeEnum DNA_PORT
public static final SiteTypeEnum DPLL
public static final SiteTypeEnum DRP_AMS_ADC
public static final SiteTypeEnum DRP_AMS_DAC
public static final SiteTypeEnum DSP48E1
public static final SiteTypeEnum DSP48E2
public static final SiteTypeEnum DSP58
public static final SiteTypeEnum DSP58_CPLX
public static final SiteTypeEnum DSP58_PRIMARY
public static final SiteTypeEnum DSPFP
public static final SiteTypeEnum EFUSE_USR
public static final SiteTypeEnum FE
public static final SiteTypeEnum FIFO18E1
public static final SiteTypeEnum FIFO18_0
public static final SiteTypeEnum FIFO36
public static final SiteTypeEnum FIFO36E1
public static final SiteTypeEnum FRAME_ECC
public static final SiteTypeEnum GCLK_DELAY
public static final SiteTypeEnum GCLK_DELAY_SSIT
public static final SiteTypeEnum GCLK_DELAY_SSIT2
public static final SiteTypeEnum GCLK_PD
public static final SiteTypeEnum GCLK_TAPS_DECODE_GT
public static final SiteTypeEnum GCLK_TAPS_DECODE_VNOC
public static final SiteTypeEnum GCLK_TAPS_DECODE_VNOC_PS
public static final SiteTypeEnum GCLK_TEST
public static final SiteTypeEnum GCLK_TEST_BUF
public static final SiteTypeEnum GCLK_TEST_BUFE3
public static final SiteTypeEnum GCLK_TEST_RING
public static final SiteTypeEnum GLOBALSIG
public static final SiteTypeEnum GTHE2_CHANNEL
public static final SiteTypeEnum GTHE2_COMMON
public static final SiteTypeEnum GTHE3_CHANNEL
public static final SiteTypeEnum GTHE3_COMMON
public static final SiteTypeEnum GTHE4_CHANNEL
public static final SiteTypeEnum GTHE4_COMMON
public static final SiteTypeEnum GTM_DUAL
public static final SiteTypeEnum GTM_QUAD
public static final SiteTypeEnum GTM_REFCLK
public static final SiteTypeEnum GTPE2_CHANNEL
public static final SiteTypeEnum GTPE2_COMMON
public static final SiteTypeEnum GTXE2_CHANNEL
public static final SiteTypeEnum GTXE2_COMMON
public static final SiteTypeEnum GTYE3_CHANNEL
public static final SiteTypeEnum GTYE3_COMMON
public static final SiteTypeEnum GTYE4_CHANNEL
public static final SiteTypeEnum GTYE4_COMMON
public static final SiteTypeEnum GTYP_QUAD
public static final SiteTypeEnum GTYP_REFCLK
public static final SiteTypeEnum GTY_QUAD
public static final SiteTypeEnum GTY_REFCLK
public static final SiteTypeEnum GTZE2_OCTAL
public static final SiteTypeEnum HARD_SYNC
public static final SiteTypeEnum HBM_IO_CHNL
public static final SiteTypeEnum HBM_IO_MS
public static final SiteTypeEnum HBM_MC
public static final SiteTypeEnum HBM_PHY_CHNL
public static final SiteTypeEnum HBM_PHY_MS
public static final SiteTypeEnum HBM_REF_CLK
public static final SiteTypeEnum HDIOB
public static final SiteTypeEnum HDIOBDIFFINBUF
public static final SiteTypeEnum HDIOB_M
public static final SiteTypeEnum HDIOB_S
public static final SiteTypeEnum HDIOLOGIC
public static final SiteTypeEnum HDIOLOGIC_M
public static final SiteTypeEnum HDIOLOGIC_S
public static final SiteTypeEnum HDIO_BIAS
public static final SiteTypeEnum HDIO_VREF
public static final SiteTypeEnum HDLOGIC_APB
public static final SiteTypeEnum HDLOGIC_CSSD
public static final SiteTypeEnum HNICPIPE_QUAD
public static final SiteTypeEnum HNICX
public static final SiteTypeEnum HPIOB
public static final SiteTypeEnum HPIOBDIFFINBUF
public static final SiteTypeEnum HPIOBDIFFOUTBUF
public static final SiteTypeEnum HPIOB_DCI_SNGL
public static final SiteTypeEnum HPIOB_M
public static final SiteTypeEnum HPIOB_S
public static final SiteTypeEnum HPIOB_SNGL
public static final SiteTypeEnum HPIO_RCLK_PRBS
public static final SiteTypeEnum HPIO_VREF_SITE
public static final SiteTypeEnum HPIO_ZMATCH_BLK_HCLK
public static final SiteTypeEnum HPLL
public static final SiteTypeEnum HRIO
public static final SiteTypeEnum HRIODIFFINBUF
public static final SiteTypeEnum HRIODIFFOUTBUF
public static final SiteTypeEnum HSADC
public static final SiteTypeEnum HSC
public static final SiteTypeEnum HSDAC
public static final SiteTypeEnum IBUFDS_GTE2
public static final SiteTypeEnum ICAP
public static final SiteTypeEnum IDELAYCTRL
public static final SiteTypeEnum IDELAYE2
public static final SiteTypeEnum IDELAYE2_FINEDELAY
public static final SiteTypeEnum ILKNE4
public static final SiteTypeEnum ILKNF
public static final SiteTypeEnum ILKN_SITE
public static final SiteTypeEnum ILOGICE2
public static final SiteTypeEnum ILOGICE3
public static final SiteTypeEnum IN_FIFO
public static final SiteTypeEnum IOB
public static final SiteTypeEnum IOB18
public static final SiteTypeEnum IOB18M
public static final SiteTypeEnum IOB18S
public static final SiteTypeEnum IOB33
public static final SiteTypeEnum IOB33M
public static final SiteTypeEnum IOB33S
public static final SiteTypeEnum IOBM
public static final SiteTypeEnum IOBS
public static final SiteTypeEnum IOPAD
public static final SiteTypeEnum IPAD
public static final SiteTypeEnum IRI_QUAD_EVEN
public static final SiteTypeEnum IRI_QUAD_ODD
public static final SiteTypeEnum ISERDESE2
public static final SiteTypeEnum KEY_CLEAR
public static final SiteTypeEnum LAGUNA
public static final SiteTypeEnum MISR
public static final SiteTypeEnum MMCM
public static final SiteTypeEnum MMCME2_ADV
public static final SiteTypeEnum MMCME3_ADV
public static final SiteTypeEnum MRMAC
public static final SiteTypeEnum MTBF2
public static final SiteTypeEnum MTBF3
public static final SiteTypeEnum NOC2_NIDBH
public static final SiteTypeEnum NOC2_NIDBV
public static final SiteTypeEnum NOC2_NMU128
public static final SiteTypeEnum NOC2_NMU256
public static final SiteTypeEnum NOC2_NMU512
public static final SiteTypeEnum NOC2_NPS5555
public static final SiteTypeEnum NOC2_NPS7575
public static final SiteTypeEnum NOC2_NSU128
public static final SiteTypeEnum NOC2_NSU256
public static final SiteTypeEnum NOC2_NSU512
public static final SiteTypeEnum NOC2_SCAN
public static final SiteTypeEnum NOC2_XBR2X4
public static final SiteTypeEnum NOC2_XBR4X2
public static final SiteTypeEnum NOC_HBM_BLI_SCAN
public static final SiteTypeEnum NOC_NCRB
public static final SiteTypeEnum NOC_NCRB_SSIT
public static final SiteTypeEnum NOC_NIDB
public static final SiteTypeEnum NOC_NMU128
public static final SiteTypeEnum NOC_NMU512
public static final SiteTypeEnum NOC_NMU_HBM2E
public static final SiteTypeEnum NOC_NPP_RPTR
public static final SiteTypeEnum NOC_NPS4
public static final SiteTypeEnum NOC_NPS5555
public static final SiteTypeEnum NOC_NPS6
public static final SiteTypeEnum NOC_NPS7575
public static final SiteTypeEnum NOC_NPS_VNOC
public static final SiteTypeEnum NOC_NSU128
public static final SiteTypeEnum NOC_NSU512
public static final SiteTypeEnum NPI_NIR
public static final SiteTypeEnum ODELAYE2
public static final SiteTypeEnum ODELAYE2_FINEDELAY
public static final SiteTypeEnum OLOGICE2
public static final SiteTypeEnum OLOGICE3
public static final SiteTypeEnum OPAD
public static final SiteTypeEnum OSERDESE2
public static final SiteTypeEnum OUT_FIFO
public static final SiteTypeEnum PCIE40
public static final SiteTypeEnum PCIE40E4
public static final SiteTypeEnum PCIE4CE4
public static final SiteTypeEnum PCIE50
public static final SiteTypeEnum PCIE_2_1
public static final SiteTypeEnum PCIE_3_0
public static final SiteTypeEnum PCIE_3_1
public static final SiteTypeEnum PHASER_IN
public static final SiteTypeEnum PHASER_IN_ADV
public static final SiteTypeEnum PHASER_IN_PHY
public static final SiteTypeEnum PHASER_OUT
public static final SiteTypeEnum PHASER_OUT_ADV
public static final SiteTypeEnum PHASER_OUT_PHY
public static final SiteTypeEnum PHASER_REF
public static final SiteTypeEnum PHY_CONTROL
public static final SiteTypeEnum PLL
public static final SiteTypeEnum PLLE2_ADV
public static final SiteTypeEnum PLLE3_ADV
public static final SiteTypeEnum PLL_SELECT_SITE
public static final SiteTypeEnum PMV
public static final SiteTypeEnum PMV2
public static final SiteTypeEnum PMV2_SVT
public static final SiteTypeEnum PMVBRAM
public static final SiteTypeEnum PMVIOB
public static final SiteTypeEnum PS7
public static final SiteTypeEnum PS8
public static final SiteTypeEnum PS9
public static final SiteTypeEnum PSX
public static final SiteTypeEnum RAMB180
public static final SiteTypeEnum RAMB181
public static final SiteTypeEnum RAMB18E1
public static final SiteTypeEnum RAMB18_L
public static final SiteTypeEnum RAMB18_U
public static final SiteTypeEnum RAMB36
public static final SiteTypeEnum RAMB36E1
public static final SiteTypeEnum RAMBFIFO18
public static final SiteTypeEnum RAMBFIFO36
public static final SiteTypeEnum RAMBFIFO36E1
public static final SiteTypeEnum RCLK_DFX_TEST
public static final SiteTypeEnum RFADC
public static final SiteTypeEnum RFDAC
public static final SiteTypeEnum RIU_OR
public static final SiteTypeEnum RPI_HD_APB
public static final SiteTypeEnum SDFEC_A
public static final SiteTypeEnum SDFEC_B
public static final SiteTypeEnum SDFEC_C
public static final SiteTypeEnum SLICEL
public static final SiteTypeEnum SLICEM
public static final SiteTypeEnum STARTUP
public static final SiteTypeEnum SYSMONE1
public static final SiteTypeEnum SYSMONE4
public static final SiteTypeEnum SYSMON_SAT
public static final SiteTypeEnum TIEOFF
public static final SiteTypeEnum URAM288
public static final SiteTypeEnum URAM_CAS_DLY
public static final SiteTypeEnum USR_ACCESS
public static final SiteTypeEnum VBUS_SWITCH
public static final SiteTypeEnum VCU
public static final SiteTypeEnum VDU
public static final SiteTypeEnum X5PHIO_CMUIF
public static final SiteTypeEnum X5PHIO_CMU_X32
public static final SiteTypeEnum X5PHIO_DCCIBUF
public static final SiteTypeEnum X5PHIO_HARD_INV
public static final SiteTypeEnum X5PHIO_XCVR
public static final SiteTypeEnum X5PLL
public static final SiteTypeEnum X5PLL_INTF
public static final SiteTypeEnum X5PLL_S2P
public static final SiteTypeEnum XADC
public static final SiteTypeEnum XIPHY_FEEDTHROUGH
public static final SiteTypeEnum XPHY
public static final SiteTypeEnum XPIOB
public static final SiteTypeEnum XPIOLOGIC
public static final SiteTypeEnum XPIO_DCI
public static final SiteTypeEnum XPIO_VREF
public static final SiteTypeEnum XPIPE_QUAD
public static final SiteTypeEnum XPLL
public static final SiteTypeEnum XRAM
public static SiteTypeEnum[] values()
for (SiteTypeEnum c : SiteTypeEnum.values()) System.out.println(c);
public static SiteTypeEnum valueOf(String name)
name
- the name of the enum constant to be returned.IllegalArgumentException
- if this enum type has no constant with the specified nameNullPointerException
- if the argument is null